31/03/2020

QJ71C24N-R4 - Mô-đun - Mitsubishi Việt Nam - Song Thành Công - Module

QJ71C24N-R4-mo-dun-mitsubishi-viet-nam-song-thanh-cong-moduleTop bai

QJ71C24N-R4 - Mô-đun - Mitsubishi Việt Nam - Song Thành Công - Module


Ngoài ra công ty Song Thành Công còn cung cấp và phân phối các dòng thiết bị công nghiệp hóa tự động khác:
  
GEModel : IC694BEM331
VietnamGenius Bus Controller Used  RX3I PLC
GEModel : IC200GBI001
VietnamRemote I/O Genius Network Interface unit
GEModel : IC200PWR102
VietnamPower Supply with 3.3VDC 120/240VAC Input
GEModel : IC200ALG260
VietnamAnalog input 12 bit voltage/current 8 channel
GEModel : IC200ALG326
VietnamAnalog Output, 13 Bit Current, 8 Channel
GEModel : IC200CHS022L
VietnamCompact I/O carrier with box style
DeltaModel : VFD015S43D
VietnamBiến tần
Balluff VietnamBCC06M4
BCC M434-0000-2A-000-41X475-000 Field-Attachable Connectors
P+F Vietnam224885
V1S-G-BK Field-attachable male connector
Urban   VietnamPart Nol: 552690
Grooving knife
Micatrone VietnamModel: MF-PFT
MicaFlex PFT ver 3
Differential low pressure and flow transmitter with control output
Dold VietnamModel: LG5925.48/900/61 DC24V
Art number: 0063278 LIGHT CURTAIN CONTROLLER
Dold VietnamModel: LG5925.48/900/61 DC24V
Art number: 0063278 LIGHT CURTAIN CONTROLLER
Elektrogas VietnamVML - Slow opening and fast closing solenoid valves
Model: VML3-5 R/p1
FoxboroModel : RTT15S-T1SA1KNAEA
VietnamTransmitter
UL-Tech VietnamSonicheck15
Potable Ultrasonic Sound Pressure Meter
UL-Tech VietnamQuartz Probe
Atlas Copco Vietnam2901170100
Roto Xtend Duty Fluid 20 l Roto Xtend Du
IFM VietnamCode: IGS290
Description: Inductive sensor
IFM VietnamCode: OGT200
Description: Diffuse reflection sensor
IFM VietnamCode: AL1122
Description: IO-Link master with EtherNet/IP interface
IFM VietnamCode: AL2330
Description: IO-Link CompactLine module
IFM VietnamCode: AL2331
Description: IO-Link CompactLine module
IFM VietnamCode: AL2401
Description: IO-Link CompactLine module
IFM VietnamCode: RB3100
Description: Incremental encoder with solid shaft

Bot bai

Không có nhận xét nào:

Đăng nhận xét